Mechanistic Analytical Modeling of Superscalar In-Order Processor Performance

نویسندگان
چکیده

برای دانلود باید عضویت طلایی داشته باشید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

A Framework for Statistical Modeling of Superscalar Processor Performance

This dissertation presents a statistical approach to modeling superscalar processor performance. Instead of directly modeling an execution trace, as with standard simulationbased performance models, a statistical model works with the probabilities of instruction types, instruction sequences, and processor states. The program trace and machine are analyzed separately, and the performance is comp...

متن کامل

Performance Measures of Superscalar Processor

In this paper the author describes about superscalar processor and its architecture. A superscalar architecture is one in which several instructions can be initiated simultaneously and executed independently. pipelining allows several instructions to be executed at the same time, but they have to be in different pipeline stages at a given moment. Superscalar architectures include all features o...

متن کامل

Accurately modeling superscalar processor performance with reduced trace

Trace-driven simulation of out-of-order superscalar processors is far from straightforward. The dynamic nature of out-of-order superscalar processors combined with the static nature of traces can lead to large inaccuracies in the results when the traces contain only a subset of executed instructions for trace reduction. In this paper, we describe and comprehensively evaluate the pairwise depend...

متن کامل

Advanced Techniques for Improving Processor Performance in a Superscalar Architecture

The main aim of this short paper is to investigate multiple-instruction-issue in a high-performance superscalar architecture, illustrating the optimum values for some processing parameters, as well as some advanced techniques for improving processor performance, such as dependence collapsing and instruction bypassing. Our analysis is based on a trace driven simulation method. The simulation res...

متن کامل

Superscalar Branch Instruction Processor

In this paper we describe the design of the branch unit that has been implemented in some models of the recently announced IBM AS/400 1. The branch unit we describe is a modification of the unit originally designed for the experimental IBM ESA/370 2 SCISM processor. The main feature of branch unit is its capability to remove branch instructions from the instruction stream dynamically and pre-pr...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

ژورنال

عنوان ژورنال: ACM Transactions on Architecture and Code Optimization

سال: 2015

ISSN: 1544-3566,1544-3973

DOI: 10.1145/2678277